The rising_edge function

function rising_edge ( signal s : std_ulogic ) return boolean;

Detects the rising edge of a std_ulogic or std_logic signal. It will return true when the signal changes from a low value ('0' or 'L') to a high value ('1' or 'H').

Examples

In this example, the simulator executes the body of the if exactly once per clock cycle, on the rising edge of the clock signal.

signal clock : std_logic;
...
sync_stuff: process (clock)
begin
  if rising_edge(clock) then
    ...
  end if;
end process;