std_logic_1164

This is the library that defines the basic std_logic data types and a few functions. This should probably be included in every entity you create.

The source code, std_logic_1164.vhd, contains no information about copyright or permissions to post it. As such, I am not including it here. If you know the IEEE's code distribution rules, let me know.

You should be able to find the course somewhere in your VHDL implementation--it has to be there one way or the other. In particular, Synopsys users can find it in the directory ${SYNOPSYS}/packages/IEEE/src/.