The to_bitvector function

function to_bitvector ( s : std_ulogic_vector ) return bit_vector;

Converts a std_ulogic_vector or std_logic_vector value to a vector of the built-in VHDL bit_vector type, place-by-place.